Performance Evaluation of SRAM-PUF based on 7-nm, 10-nm and 14-nm FinFET Technology Nodes

Mispan, Mohd Syafiq and Hafez, Sarkawi and Jidin, Aiman Zakwan and Mohd Nasir, Haslinah (2021) Performance Evaluation of SRAM-PUF based on 7-nm, 10-nm and 14-nm FinFET Technology Nodes. International Journal of Nanoelectronics and Materials, 14 (4). pp. 345-356. ISSN 1985-5761

[img] Text
2021_PERFORMANCE EVALUATION OF SRAM-PUF BASED ON 7-NM, 10-NM AND 14-NM FINFET TECHNOLOGY NODES.PDF

Download (646kB)

Abstract

As complementary metal-oxide semiconductor (CMOS) technology continues to scale down to ultra-deep submicron (UDSM) technology, the planar metal-oxide semiconductor fieldeffect transistor (MOSFET) structure reaches its limit. As the channel length shrinks, the gate no longer has full control over the channel which is not desirable. The subthreshold leakage from drain to source increases as the impact over the lost control of the MOS gate terminal, and further increase the total power consumption. To ensure the continuation of CMOS scaling and to overcome the aforementioned issues, the new MOS structure which is known as fin field-effect transistor (FinFET) is introduced. On the other hand, Physical Unclonable Function (PUF) is a promising hardware-fingerprinting technology that can exploit the intrinsic process variations of CMOS technology and manifest them into unique and random binary responses. These responses can be used as a cryptographic key or device specific identifier. Nevertheless, FinFET introduces an unknown impact of its process variations towards the performance of a particular PUF. In this paper, the suitability of the FinFET technology node for a PUF as a device-specific identifier or secret key is evaluated. One of the memory-PUFs, known as static random-access memory PUF (SRAM-PUF) has been used as a case study. Three different FinFET technology nodes which are 14-nm, 10- nm, and 7-nm have been evaluated. Our findings show that the uniqueness and uniformity of SRAM-PUF still hold, closely distributed at around an ideal value of 50%. The average reliability under temperature variations of -40ᴼC to 85ᴼC is approximately about 98%. The reliability of SRAM-PUF responses under the Vdd ramp-up time variations has no significant impact although showing declining patterns at fast ramp-up time. It can be concluded that FinFET technology shows no surprises on SRAM-PUF performances

Item Type: Article
Uncontrolled Keywords: Physical Unclonable Function, FinFET, Process Variations, Hardware Security
Divisions: Faculty of Electrical and Electronic Engineering Technology > Department of Electronic and Computer Engineering Technology
Depositing User: Norfaradilla Idayu Ab. Ghafar
Date Deposited: 05 May 2022 09:17
Last Modified: 05 May 2022 09:17
URI: http://eprints.utem.edu.my/id/eprint/25697
Statistic Details: View Download Statistic

Actions (login required)

View Item View Item